当前位置:   article > 正文

可变频率正弦信号发生器的FPGA实现(Quartus)_fpga正弦信号发生器

fpga正弦信号发生器

一、说明

实现平台:Quartus17.1、MATLAB2021a和Modelsim SE-64 10.4

二、内容

1. 产生一个完整周期的正弦波信号,并保存为*.mif文件;

2. 设计一个ROM,将正弦波信号文件初始化如该ROM中;

3. 设计一正弦波信号发生器,按照读取步长,产生频率可变的正弦波信号;

4.编写测试文件,通过modelsim查看波形。

三、步骤

(1)设计要求

  •  要求设计一个可变频率的正弦波产生器,主要参数为:
  • 50MHz的主时钟clock,低电平有效复位,reset;
  • 输出正弦波,8位输出;
  • 通过改变读地址的步进值,使输出的正弦波频率可变。

(2)设计思路

        采用top_down设计思想,将正弦波产生器分为三个模块。分别是顶层模块A_sin_gen,子模块B1_addr和子模B2_sin。

 图1 设计的总体框图

       其中顶层模块主要定义输入输出关系,划分两个子模块的接口,搭建起两个子模块的框架,整个设计要素如图2所示:

 图2 顶层模块A_sin_gen的设计要素

       子模块B1_addr主要用来产生对ROM的寻址。按照时钟街拍,改变寻址的步进,就可以改变输出正弦波的频率。该模块可以采用简单的向上计数器产生。模块设计要素如图3所示:

图3. 子模块B1_addr的设计要素 

        子模块B2_sin则主要用LPM_ROM宏模块产生。

(3)具体实现

  1. 首先通过MATLAB产生产生长度1024,8Bits位宽的正弦信号,并生成mif文件,代码如下:
  1. %%产生长度10248Bits位宽的正弦信号,并生成mif文件
  2. depth =1024; %存储器的单元数1024
  3. widths = 8; %数据宽度为8
  4. fidc = fopen('sine.mif','wt'); %给文件命名
  5. fprintf(fidc , 'depth = %d;\n',depth); %在文件中打印 "depth=1024;"
  6. fprintf(fidc, 'width = %d;\n',widths); %在文件中打印 width=8;”
  7. fprintf(fidc, 'address_radix = UNS;\n'); %在文件中打印 address_radix = UNS;”
  8. fprintf(fidc,'data_radix = UNS;\n'); %在文件中打印 “data_radix = UNS;”
  9. fprintf(fidc,'content begin\n'); %在文件中打印 content begin
  10. for(x = 1 : depth)
  11. fprintf(fidc,'%d:%d;\n',x-1,round(127*sin(2*pi*(x-1)/256)+128));
  12. end
  13. fprintf(fidc, 'end;');
  14. fclose(fidc);

2.打开quartus新建工程,首先设计顶层模块,代码如下:

  1. //-------------------------------------
  2. //Date:Nov,15th,2022-----------------
  3. //Author:Zhuzi------------------------
  4. //Description:Generate variable frequency sine wave.
  5. //Version:1.0--------------------------
  6. //-------------------------------------
  7. module a_sin_gen(clk,rst_n,sin_wave);
  8. input clk; //时钟信号50MHz
  9. input rst_n; //复位信号
  10. output [7:0] sin_wave; //正弦信号
  11. wire [9:0] RD_addr; //rom地址
  12. wire [7:0] sin_out; //读取正弦信号
  13. B1_addr u1( //例化模块1
  14. .clk (clk),
  15. .rst_n (rst_n),
  16. .RD_addr(RD_addr)
  17. );
  18. B2_sin u2( //例化模块2
  19. .clk (clk),
  20. .add_in (RD_addr),
  21. .sin_out(sin_out)
  22. );
  23. assign sin_wave = sin_out; //输出正弦信号
  24. endmodule

3.接着按顺序设计模块1和模块2。子模块B1_addr用来产生对ROM的寻址,代码如下:

  1. module B1_addr(clk,rst_n,RD_addr);
  2. input clk;
  3. input rst_n;
  4. output [9:0] RD_addr;
  5. parameter step = 5;//ROM寻址步长
  6. reg [9:0] addr;
  7. assign RD_addr = addr;
  8. always @(posedge clk or negedge rst_n)begin
  9. if(!rst_n)
  10. addr <= 0;
  11. else addr <= addr + step;
  12. end
  13. endmodule

4.子模块B2_sin需要调用LPM_ROM宏功能模块,根据设计要求,ROM核的设置如下:

 

 子模块B2_sin代码如下:

  1. module B2_sin(clk,add_in,sin_out);
  2. input clk;
  3. input [9:0] add_in;
  4. output [7:0] sin_out;
  5. sin_rom_p u3(
  6. .address(add_in),
  7. .clock(clk),
  8. .q(sin_out)
  9. );
  10. endmodule

 5.程序设计完成后点击综合,只要综合完成即可进行下一步。

设计完成后可以看到RTL原理图如下所示,基本符合预期。

 6.TestBench程序编写如下:

  1. `timescale 1 ns/ 1 ns
  2. module a_sin_gen_vlg_tst();
  3. reg clk;
  4. reg rst_n;
  5. wire [7:0] sin_wave;
  6. a_sin_gen i1 (
  7. .clk(clk),
  8. .rst_n(rst_n),
  9. .sin_wave(sin_wave)
  10. );
  11. initial
  12. begin
  13. clk = 0;
  14. rst_n = 0;
  15. #100;
  16. rst_n = 1;
  17. end
  18. always #10 clk = ~clk;
  19. endmodule

(4)仿真结果 

 1.修改子模块1中的parameter参数即可改变步长,当ROM寻址步长为10时,测试仿真波形如下图所示:

2. 当ROM寻址步长为5时,测试仿真波形如下图所示:

通过上述结果可知,改变寻址步长即可调整产生正弦波的频率,表明实验成功。 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小蓝xlanll/article/detail/724134
推荐阅读
相关标签
  

闽ICP备14008679号